1. nclaunch simvision
- linux 명령어: simvision &
- Verilog dump code
initial begin
$shm_open("wave.shm");
$shm_probe("AC"); //wave simulation option
end
2. verdi nwave
- linux 명령어: nWave &
- Verilog dump code
initial begin
$fsdbDumpfile("test.fsdb");
$fsdbDumpvars(0, test); //level option
end
댓글 영역