상세 컨텐츠

본문 제목

Wave dump

공부

by 기동3 2021. 9. 3. 15:43

본문

1. nclaunch simvision 

- linux 명령어: simvision &

 - Verilog dump code

initial begin

    $shm_open("wave.shm");

    $shm_probe("AC"); //wave simulation option

end

 

2. verdi nwave

 - linux 명령어: nWave & 

 - Verilog dump code

initial begin

    $fsdbDumpfile("test.fsdb");

    $fsdbDumpvars(0, test);  //level option

end

'공부' 카테고리의 다른 글

AES  (0) 2021.11.30
노벨경제학상 논문  (0) 2021.10.13
HDL 2가지 VHDL vs Verilog  (0) 2021.08.07
asmk  (0) 2021.04.23
skt  (0) 2021.04.16

관련글 더보기

댓글 영역